IT数码 购物 网址 头条 软件 日历 阅读 图书馆
TxT小说阅读器
↓语音阅读,小说下载,古典文学↓
图片批量下载器
↓批量下载图片,美女图库↓
图片自动播放器
↓图片自动播放器↓
一键清除垃圾
↓轻轻一点,清除系统垃圾↓
开发: C++知识库 Java知识库 JavaScript Python PHP知识库 人工智能 区块链 大数据 移动开发 嵌入式 开发工具 数据结构与算法 开发测试 游戏开发 网络协议 系统运维
教程: HTML教程 CSS教程 JavaScript教程 Go语言教程 JQuery教程 VUE教程 VUE3教程 Bootstrap教程 SQL数据库教程 C语言教程 C++教程 Java教程 Python教程 Python3教程 C#教程
数码: 电脑 笔记本 显卡 显示器 固态硬盘 硬盘 耳机 手机 iphone vivo oppo 小米 华为 单反 装机 图拉丁
 
   -> 区块链 -> 【Vivado那些事儿】Vivado 设计套件版本的支持性第三方工具 -> 正文阅读

[区块链]【Vivado那些事儿】Vivado 设计套件版本的支持性第三方工具

07ed836844a033f0d5237aa02156f3ef.png

Vivado 设计套件版本的支持性第三方工具

本文列出了能够与 Vivado 设计套件联用的支持性第三方仿真器。

这些也在随该软件一起发布的“Vivado 设计套件用户指南:版本说明、安装与许可” (UG973) 中列出。

请参阅 "架构支持与需求" > "兼容的第三方工具"。

大致的版本信息也可以通过下方推算出来。

712f8a847e6ccf344da89f1e1ca3a10c.png

Vivado Design Suite 2019.2

Mentor Graphics ModelSim SE/DE/PE (2019.2)

Mentor Graphics Questa 高级仿真器 2019.2

Cadence Incisive 企业仿真器 (IES) (15.20.073)

Cadence Xcelium 并行模拟器(19.03.005)

Synopsys VCS 与 VCS MX (O-2018.09-SP2-1)

Aldec Active-HDL (10.5a)

Aldec Riviera-PRO (2019.04)

Vivado Design Suite 2019.1

Mentor Graphics ModelSim SE/DE/PE (10.7c)

Mentor Graphics Questa 高级仿真器 (10.7c)

Cadence Incisive 企业仿真器 (IES) (15.20.065)

Cadence Xcelium 并行模拟器(18.09.005)

Synopsys VCS 与 VCS MX (O-2018.09) Aldec Active-HDL (10.5a)

Aldec Riviera-PRO (2018.10)

Vivado Design Suite 2018.3

Mentor Graphics ModelSim SE/DE/PE (10.6c)

Mentor Graphics Questa 高级仿真器 (10.6c)

Cadence Incisive 企业仿真器 (IES) (15.20.053)

Cadence Xcelium 并行模拟器(18.03.005)

Synopsys VCS 与 VCS MX (N-2017.12-SP2)

Aldec Active-HDL (10.5) Aldec Riviera-PRO (2018.02)

Vivado Design Suite 2018.2

Mentor Graphics ModelSim SE/DE/PE (10.6c)

Mentor Graphics Questa 高级仿真器 (10.6c)

Cadence Incisive 企业仿真器 (IES) (15.20.042)

Cadence Xcelium 并行模拟器(17.10.005)

Synopsys VCS 与 VCS MX (N-2017.12)

Aldec Active-HDL (10.4a)

Aldec Riviera-PRO (2017.10)

Vivado Design Suite 2018.1

Mentor Graphics ModelSim SE/DE/PE (10.6c) Mentor Graphics Questa 高级仿真器 (10.6c) Cadence Incisive 企业仿真器 (IES) (15.20.042) Cadence Xcelium 并行模拟器(17.10.005) Synopsys VCS 与 VCS MX (N-2017.12) Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.10)

Vivado Design Suite 2017.4

Mentor Graphics ModelSim SE/DE/PE (10.6b) Mentor Graphics Questa 高级仿真器 (10.6b) Cadence Incisive 企业仿真器 (IES) (15.20.028) Synopsys VCS 和 VCS MX (M-2017.03-SP1) Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.02)

Vivado Design Suite 2017.3

Mentor Graphics ModelSim SE/DE/PE (10.6b) Mentor Graphics Questa 高级仿真器 (10.6b) Cadence Incisive 企业仿真器 (IES) (15.20.028) Synopsys VCS 和 VCS MX (M-2017.03-SP1) Aldec Active-HDL (10.4a) Aldec Riviera-PRO (2017.02)

Vivado Design Suite 2017.2

Mentor Graphics ModelSim SE/DE/PE (10.5c) Mentor Graphics Questa 高级仿真器 (10.5c) Cadence Incisive 企业仿真器 (IES) (15.20.014) Synopsys VCS 与 VCS MX (L-2016.06-SP1) Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

Vivado Design Suite 2017.1

Mentor Graphics ModelSim SE/DE/PE (10.5c) Mentor Graphics Questa 高级仿真器 (10.5c) Cadence Incisive 企业仿真器 (IES) (15.20.014) Synopsys VCS 与 VCS MX (L-2016.06-SP1) Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

Vivado Design Suite 2016.4

Mentor Graphics ModelSim SE/DE/PE (10.5c) Mentor Graphics Questa 高级仿真器 (10.5c) Cadence Incisive 企业仿真器 (IES) (15.20.005) Synopsys VCS 与 VCS MX (L-2016.06) Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)

Vivado Design Suite 2016.3

Mentor Graphics ModelSim SE/DE/PE (10.5c) Mentor Graphics Questa 高级仿真器 (10.5c) Cadence Incisive 企业仿真器 (IES) (15.20.005) Synopsys VCS 与 VCS MX (L-2016.06) Aldec Active-HDL (10.3) Aldec Riviera-PRO (2016.06)

Vivado Design Suite 2016.2, 2016.1

Mentor Graphics ModelSim SE/DE/PE (10.4d) Mentor Graphics Questa 高级仿真器 (10.4d) Cadence Incisive 企业仿真器 (IES) (15.10.013) Synopsys VCS 与 VCS MX (K-2015.09) Aldec Active-HDL (10.3) Aldec Riviera-PRO (2015.10)

Vivado Design Suite 2015.4, 2015.3

Mentor Graphics ModelSim SE/DE/PE (10.4b) Mentor Graphics Questa 高级仿真器 (10.4b) Cadence Incisive 企业仿真器 (IES) (14.20.006) Synopsys VCS 与 VCS MX (J-2014.12-SP2) Aldec Active-HDL (10.2 SP2) Aldec Riviera-PRO (2015.06)

Vivado Design Suite 2015.2, 2015.1

Mentor Graphics ModelSim SE/DE/PE (10.3d) Mentor Graphics Questa 高级仿真器 (10.3d) Cadence Incisive 企业仿真器 (IES) (14.10.011) Synopsys VCS 与 VCS MX (I-2014.03-SP1) Aldec Active-HDL (10.1 SP1) Aldec Riviera-PRO (2015.02)

Vivado Design Suite 2014.4

Mentor Graphics ModelSim SE/DE/PE (10.3b) Mentor Graphics Questa 高级仿真器 (10.3b) Cadence Incisive 企业仿真器 (IES) (13.20.005) Synopsys VCS 与 VCS MX (I-2014.03) Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)

Vivado Design Suite 2014.3

Mentor Graphics ModelSim SE/DE/PE (10.3b) Mentor Graphics Questa 高级仿真器 (10.3b) Cadence Incisive 企业仿真器 (IES) (13.20.005) Synopsys VCS 与 VCS MX (I-2014.03) Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2014.02)

Vivado Design Suite 2014.2, 2014.1

Mentor Graphics ModelSim SE/DE/PE (10.2a) Mentor Graphics Questa 高级仿真器 (10.2a) Cadence Incisive 企业仿真器 (IES) (12.2-016) Synopsys VCS 与 VCS MX (H-2013.06-SP1) Aldec Active-HDL (9.3 SP1) Aldec Riviera-PRO (2014.02)

Vivado Design Suite 2013.4

Mentor Graphics ModelSim SE/DE/PE (10.2a) Mentor Graphics Questa 高级仿真器 (10.2a) Cadence Incisive 企业仿真器 (IES) (12.2-016) Synopsys VCS 与 VCS MX (H-2013.06) Aldec Active-HDL (9.2 SP1) Aldec Riviera-PRO (2013.06)

Vivado Design Suite 2013.3

Mentor Graphics ModelSim SE/DE/PE (10.2a) Mentor Graphics Questa 高级仿真器 (10.2a) Cadence Incisive 企业仿真器 (IES) (12.2-016) Synopsys VCS 与 VCS MX (H-2013.06-3) Aldec Active-HDL (9.2) Aldec Riviera-PRO (2013.02)

Vivado Design Suite 2013.1, 2013.2

Mentor Graphics ModelSim SE/DE/PE (10.1b) Mentor Graphics Questa 高级仿真器 (10.1b) Cadence Incisive 企业仿真器 (IES) (12.2) Synopsys VCS 与 VCS MX (G-2012.09) Aldec Active-HDL (9.2) Aldec Riviera-PRO (2012.10)

93b6c2962d76988db62196030c079934.gif

NOW

学习Xilinx FPGA最好的资料其实就是官方手册,下表总结了部分手册的主要介绍内容,关注我,持续更新中......

文件名主标题内容简单介绍是否有中文版
UG4767 Series FPGAs GTX/GTH ?TransceiversGTX和GTH介绍,PCIe、serdes等学习必备
UG4717 Series FPGAs SelectIO Resources描述 7 系列 FPGA 中可用的 SelectIO资源。
UG1114PetaLinux Tools DocumentatonPetaLinux 工具文档 参考指南是,V2019.2
UG949UltraFAST 设计方法指南(适用于 Vivado ?Design Suite)赛灵思? UltraFast? ?设计方法是用于为当今器件优化设计进程的一套最佳实践。这些设计的规模与复杂性需要执行特定的步骤与设计任务,从而确保设计每一个阶段的成功开展。依照这些步骤,并遵循最佳实践,将帮助您以最快的速度和最高的效率实现期望的设计目标是,V2018.1
IP手册pg057FIFO GeneratorFIFO生成器IP使用手册
pg104Complex Multiplier复数乘法器IP使用手册
pg122RAM-Based Shift Register?移位寄存器IP使用手册

d4b894d7416068477061ab210d2e2527.png

推荐阅读

【Vivado那些事】如何查找官网例程及如何使用官网例程

【Vivado使用误区与进阶】总结篇

【Vivado那些事】Vivado中常用的快捷键(二)其他常用快捷键

SystemVerilog数字系统设计_夏宇闻 PDF

图书推荐|ARM Cortex-M0 全可编程SoC原理及实现

简谈:如何学习FPGA

Github 上有哪些优秀的 VHDL/Verilog/FPGA 项目

AD936x+ZYNQ搭建收音机(一)

AD936x+ZYNQ搭建OpenWIFI

无招胜有招-Vivado非工程模式下的详细设计

面试中经常会遇到的FPGA基本概念,你会几个?

推荐一些可以获取免费的国外的原版书籍(电子版)网站

FPGA 的重构

国产CPU概括

从电子游戏历史看IC发展的助推剂

80年代电子游戏及电脑游戏的发展历史

PCIe总线的基础知识

万字长文带你回顾电子游戏的七十多年历史(完整版)

FPGA中异步复位,同步释放的理解

OpenFPGA系列文章总结

用Verilog设计一个16 位 RISC 处理器

介绍一些新手入门FPGA的优秀网站(新增)

Verilog数字系统基础设计-CR

Verilog数字系统基础设计-奇偶校验

建立和保持时间及时序简单理解

(Xilinx)FPGA中LVDS差分高速传输的实现

Xilinx Multiboot实例演示

高速串行通信常用的编码方式-8b/10b编码/解码
Verilog计时(微秒、毫秒和秒)脉冲的产生及同步整形电路

图书推荐|一本图像/视频处理的强大工具书

Verilog HDL-同步技术

再说System Verilog 与 Verilog 的关系

数模混合信号建模语言Verilog-AMS

Intel/Altera 系列FPGA简介

一块带给无数人年少欢乐的CPU,别说你没用过它

Verilog在编写第一行代码之前

【例说】Verilog HDL 编译器指令,你见过几个?

穿越时空的爱恋-Z80 CPU的前世今生

【Vivado】那些事儿-汇总篇

古老CPU启示录-晶体管之路

【Vivado那些事儿】约束的顺序

童年修复系列-SNES芯片组介绍及FPGA实现

优秀的 Verilog/FPGA开源项目介绍(二)-RISC-V

串行总线技术(一)-串行总线结构(以PCIe为例)

  区块链 最新文章
盘点具备盈利潜力的几大加密板块,以及潜在
阅读笔记|让区块空间成为商品,打造Web3云
区块链1.0-比特币的数据结构
Team Finance被黑分析|黑客自建Token“瞒天
区块链≠绿色?波卡或成 Web3“生态环保”标
期货从入门到高深之手动交易系列D1课
以太坊基础---区块验证
进入以太坊合并的五个数字
经典同态加密算法Paillier解读 - 原理、实现
IPFS/Filecoin学习知识科普(四)
上一篇文章      下一篇文章      查看所有文章
加:2021-10-17 12:03:04  更:2021-10-17 12:03:20 
 
开发: C++知识库 Java知识库 JavaScript Python PHP知识库 人工智能 区块链 大数据 移动开发 嵌入式 开发工具 数据结构与算法 开发测试 游戏开发 网络协议 系统运维
教程: HTML教程 CSS教程 JavaScript教程 Go语言教程 JQuery教程 VUE教程 VUE3教程 Bootstrap教程 SQL数据库教程 C语言教程 C++教程 Java教程 Python教程 Python3教程 C#教程
数码: 电脑 笔记本 显卡 显示器 固态硬盘 硬盘 耳机 手机 iphone vivo oppo 小米 华为 单反 装机 图拉丁

360图书馆 购物 三丰科技 阅读网 日历 万年历 2024年5日历 -2024/5/22 11:56:01-

图片自动播放器
↓图片自动播放器↓
TxT小说阅读器
↓语音阅读,小说下载,古典文学↓
一键清除垃圾
↓轻轻一点,清除系统垃圾↓
图片批量下载器
↓批量下载图片,美女图库↓
  网站联系: qq:121756557 email:121756557@qq.com  IT数码