IT数码 购物 网址 头条 软件 日历 阅读 图书馆
TxT小说阅读器
↓语音阅读,小说下载,古典文学↓
图片批量下载器
↓批量下载图片,美女图库↓
图片自动播放器
↓图片自动播放器↓
一键清除垃圾
↓轻轻一点,清除系统垃圾↓
开发: C++知识库 Java知识库 JavaScript Python PHP知识库 人工智能 区块链 大数据 移动开发 嵌入式 开发工具 数据结构与算法 开发测试 游戏开发 网络协议 系统运维
教程: HTML教程 CSS教程 JavaScript教程 Go语言教程 JQuery教程 VUE教程 VUE3教程 Bootstrap教程 SQL数据库教程 C语言教程 C++教程 Java教程 Python教程 Python3教程 C#教程
数码: 电脑 笔记本 显卡 显示器 固态硬盘 硬盘 耳机 手机 iphone vivo oppo 小米 华为 单反 装机 图拉丁
 
   -> 移动开发 -> 《UVM实战》笔记——第八章 factory机制 -> 正文阅读

[移动开发]《UVM实战》笔记——第八章 factory机制

更新ing

重载的方式及种类

重载的方式主要有三种,分别是调用位于uvm_component中的覆盖函数、调用位于uvm_factory中的覆盖函数、以及采用命令行进行覆盖。

位于uvm_component中的覆盖函数:

  1. set_type_override_by_type()
    会在需要将验证平台里所有A类型替换成B类型时用到。
extern static function void set_type_override_by_type(uvm_object_wrapper original_type,uvm_object_wrapper override_type,bit replace=1);

注:

  • uvm_object_wrapper型的类型参数,可以通过xxx::get_type()的形式获得。
  • 在实际应用中一般只用前两个参数, 第一个参数是被重载的类型, 第二个参数是重载的类型。

eg. 要将bird类型替换成parrot类型

set_type_override_by_type(bird::get_type(), parrot::get_type());
  1. set_inst_override_by_type()
    有时候不想将验证平台中的A类型全部替换成B类型, 而只是替换其中的某一部分实例,就可以用到。
extern function void set_inst_override_by_type(string relative_inst_path, uvm_object_wrapper original_type, uvm_object_wrapper override_type);
  1. set_type_override()
extern static function void set_type_override(string original_type_name, string override_type_name, bit replace=1);

eg. 用parrot 替代bird类型:

set_type_override("bird","parrot")
  1. set_inst_override()
extern function void set_inst_override(string relative_inst_path, string original_type_name, string override_type_name);

eg. 使用new_monitor重载my_monitor:

set_inst_override("env.o_agt.mon", "my_driver", "new_monitor");

小结:

  • 类型覆盖不需要写路径,实例覆盖需要写路径,而且是相对路径

位于uvm_factory中的覆盖函数

上述的所有函数都是uvm_component的函数, 但是如果在一个无法使用component的地方, 如在top_tb的initial语句里, 就无法使用。 UVM提供了位于uvm_factory中的另外四个函数来替换上述的四个函数。

四个覆盖函数

  1. set_type_override_by_type
extern function void set_type_override_by_type (uvm_object_wrapper original_type, uvm_object_wrapper override_type, bit replace=1);

第一个与uvm_component中的同名函数类似, 传递的参数相同。

  1. set_inst_override_by_type
extern function void set_inst_override_by_type (uvm_object_wrapper original_type, uvm_object_wrapper override_type, string full_inst_path);

第二个对应 uvm_component中的同名函数, 只是其输入参数变了, 这里需要输入一个字符串类型的full_inst_path。 这个full_inst_path就是要替 换的实例中使用get_full_name( ) 得到的路径值。

  1. set_type_override_by_name
    第三个与uvm_component中的set_type_override类似, 传递的参数相同。

  2. set_inst_override_by_name
    第四个函数对应uvm_component中的set_inst_override, 也需要一个full_inst_path。

如何用?

系统中存在一个uvm_factory类型的全局变量factory,可以如下进行调用:

initial语句中:

initial begin
	factory.set_type_override_by_type(bird::get_type(),parrot::get_type());
end

在component里也完全可以直接调用factory机制的重载函数:

factory.set_type_override_by_type(bird::get_type(), parrot::get_type());

用命令行覆盖

<sim command> +uvm_set_inst_override=<req_type>,<override_type>,<full_inst_path>
<sim command> +uvm_set_type_override=<req_type>,<override_type>[,<replace>]

eg.

类型重载:

<sim command> +uvm_set_type_override="my_monitor,new_monitor"

实例重载:

<sim command> +uvm_set_inst_override="my_monitor,new_monitor,uvm_test_top.env.o_agt.mon"
  移动开发 最新文章
Vue3装载axios和element-ui
android adb cmd
【xcode】Xcode常用快捷键与技巧
Android开发中的线程池使用
Java 和 Android 的 Base64
Android 测试文字编码格式
微信小程序支付
安卓权限记录
知乎之自动养号
【Android Jetpack】DataStore
上一篇文章      下一篇文章      查看所有文章
加:2022-10-22 21:26:57  更:2022-10-22 21:30:41 
 
开发: C++知识库 Java知识库 JavaScript Python PHP知识库 人工智能 区块链 大数据 移动开发 嵌入式 开发工具 数据结构与算法 开发测试 游戏开发 网络协议 系统运维
教程: HTML教程 CSS教程 JavaScript教程 Go语言教程 JQuery教程 VUE教程 VUE3教程 Bootstrap教程 SQL数据库教程 C语言教程 C++教程 Java教程 Python教程 Python3教程 C#教程
数码: 电脑 笔记本 显卡 显示器 固态硬盘 硬盘 耳机 手机 iphone vivo oppo 小米 华为 单反 装机 图拉丁

360图书馆 购物 三丰科技 阅读网 日历 万年历 2024年5日历 -2024/5/19 21:21:26-

图片自动播放器
↓图片自动播放器↓
TxT小说阅读器
↓语音阅读,小说下载,古典文学↓
一键清除垃圾
↓轻轻一点,清除系统垃圾↓
图片批量下载器
↓批量下载图片,美女图库↓
  网站联系: qq:121756557 email:121756557@qq.com  IT数码