IT数码 购物 网址 头条 软件 日历 阅读 图书馆
TxT小说阅读器
↓语音阅读,小说下载,古典文学↓
图片批量下载器
↓批量下载图片,美女图库↓
图片自动播放器
↓图片自动播放器↓
一键清除垃圾
↓轻轻一点,清除系统垃圾↓
开发: C++知识库 Java知识库 JavaScript Python PHP知识库 人工智能 区块链 大数据 移动开发 嵌入式 开发工具 数据结构与算法 开发测试 游戏开发 网络协议 系统运维
教程: HTML教程 CSS教程 JavaScript教程 Go语言教程 JQuery教程 VUE教程 VUE3教程 Bootstrap教程 SQL数据库教程 C语言教程 C++教程 Java教程 Python教程 Python3教程 C#教程
数码: 电脑 笔记本 显卡 显示器 固态硬盘 硬盘 耳机 手机 iphone vivo oppo 小米 华为 单反 装机 图拉丁
 
   -> 嵌入式 -> 重温FPGA开发24 -> 正文阅读

[嵌入式]重温FPGA开发24

串行移位寄存器原理详解

在这里插入图片描述
板上集成的用的是 三线数码管

HC595 串行移位寄存器。

在这里插入图片描述
在这里插入图片描述
D触发器也可以作为开关来使用。前三个时钟周期保持不变,到第四个才发送过去,latch信号用来控制输出到引脚上面。使用3根信号线,完成了四位数据的输出。

通过移位寄存器和输出锁存器实现
是要驱动开发板上的8位数码管

在这里插入图片描述

FPGA 三个输入端口,控制8位输出。74HC595 可以级联,FPGA需要通过74HC595这个芯片把16位的数据(sel+seg)把16位信号传递到端口来驱动数码管。

在这里插入图片描述

在这里插入图片描述

FPGA工作的时候是50Mhz,二分频变成了 25Mhz,

串行移位寄存器驱动数码管显示设计与实现

在这里插入图片描述
hex_sel 为位选,hex为段选信号。

对于74HC595芯片,该芯片在SH_CP的上升沿将DS(DIO)上的数据移入内部的寄存器

目的:因此我们需要保证DS上的数据在SH_CP上升沿前后的一段时间,保持稳定
手段:FPGA要在SH_CP的下降沿改变DS的值。

先移入的数据,在高位输出。

在这里插入图片描述

SHCP = 12.5MHZ

  1. 使用一个计数器计数分频得到12.5M的信号
  2. SH_CP 不要想成一个时钟信号,而是当成一个普通信号,一个和DS、ST_CP一样的普通信号

新建一个文件:
HC595_driver.v

`timescale 1ns/1ns

module HC595_driver(
	clk,
	reset,
	data,
	s_en,
	shcp,
	stcp,
	ds
	);
	
	input clk;
	input reset;
	input [15:0] data;
	input s_en;
	output reg shcp;
	ouput reg stcp;
	output reg ds;
	
	parameter cnt_max = 2;

	reg [15:0] r_data;
	always@(posedge clk)
		if(s_en)
			r_data <= data; 


	// 只需要找到shcp的最小时间即可,得到最小时钟单元的脉冲,其他时刻保持低电平
	reg [7:0] div_cnt;
	always@(posedge clk or negedge reset)
	if(!reset)
		div_cnt <= 0;
	else if(div_cnt == cnt_max - 1)
		div_cnt <= 0;
	else
		div_cnt <= div_cnt + 1'b1;
	

	wire sck_plus;
	assign sck_plus = (div_cnt == cnt_max - 1);
	
	
	reg [5:0] shcp_edge_cnt;
	always@(posedge clk or negedge reset)
	if(!reset)
		shcp_edge_cnt<= 0;
	else if(sck_plus) begin
		if(shcp_edge_cnt == 6'd33)
			shcp_edge_cnt <= 0;
		else
			shcp_edge_cnt <= shcp_edge_cnt +1'b1;
		end 

	always@(posedge clk or negedge reset)
	if(!reset) begin
		case(shcp_edge_cnt)
			0: begin
				sh_cp <= 0;
				st_cp <= 1'd0;
				ds <= r_data [15];
				end
			1: sh_cp <= 1;
			2: begin
				sh_cp <= 0;
				ds <= r_data [14];
				end
			3:sh_cp <= 1'd1;
			...
			31: sh_cp <= 1'd1;
			32: st_cp <= 1'd1;
			default:
				begin
					sh_tp <= 0;
					st_cp <= 0;
					ds <= 0;
				end
			endcase
	end


endmodule
	 


testbench.v

`timescale 1ns/1ps

module HC595_driver_tb;
	reg clk;
	reg reset;
	reg [15:0] data;
	reg s_en;
	wire sh_cp;
	wire st_cp;
	wire ds;

	HS595_driver HC595_driver(
	clk,
	reset,
	data,
	s_en,
	sh_cp,
	ds
	);

	initial clk = 1;
	always #10 clk = ~clk;

	initial begin
		reset = 0;
		data = 0;
		s_en = 0;
		#201;
		reset = 1;
		#500;
		data = 16'h47a9;
		s_en = 1;
		#20;
		s_en = 0;
		#2000;

		data = 16'h5832;
		s_en = 1;
		#20;
		s_en = 0;
		#2000;
		$stop;
	end
	
	
	

endmodule

仿真发现DS有一段红色的信号;

在这里插入图片描述
在这里插入图片描述

  嵌入式 最新文章
基于高精度单片机开发红外测温仪方案
89C51单片机与DAC0832
基于51单片机宠物自动投料喂食器控制系统仿
《痞子衡嵌入式半月刊》 第 68 期
多思计组实验实验七 简单模型机实验
CSC7720
启明智显分享| ESP32学习笔记参考--PWM(脉冲
STM32初探
STM32 总结
【STM32】CubeMX例程四---定时器中断(附工
上一篇文章      下一篇文章      查看所有文章
加:2022-06-25 18:19:04  更:2022-06-25 18:20:00 
 
开发: C++知识库 Java知识库 JavaScript Python PHP知识库 人工智能 区块链 大数据 移动开发 嵌入式 开发工具 数据结构与算法 开发测试 游戏开发 网络协议 系统运维
教程: HTML教程 CSS教程 JavaScript教程 Go语言教程 JQuery教程 VUE教程 VUE3教程 Bootstrap教程 SQL数据库教程 C语言教程 C++教程 Java教程 Python教程 Python3教程 C#教程
数码: 电脑 笔记本 显卡 显示器 固态硬盘 硬盘 耳机 手机 iphone vivo oppo 小米 华为 单反 装机 图拉丁

360图书馆 购物 三丰科技 阅读网 日历 万年历 2024年4日历 -2024/4/26 22:21:31-

图片自动播放器
↓图片自动播放器↓
TxT小说阅读器
↓语音阅读,小说下载,古典文学↓
一键清除垃圾
↓轻轻一点,清除系统垃圾↓
图片批量下载器
↓批量下载图片,美女图库↓
  网站联系: qq:121756557 email:121756557@qq.com  IT数码