IT数码 购物 网址 头条 软件 日历 阅读 图书馆
TxT小说阅读器
↓语音阅读,小说下载,古典文学↓
图片批量下载器
↓批量下载图片,美女图库↓
图片自动播放器
↓图片自动播放器↓
一键清除垃圾
↓轻轻一点,清除系统垃圾↓
开发: C++知识库 Java知识库 JavaScript Python PHP知识库 人工智能 区块链 大数据 移动开发 嵌入式 开发工具 数据结构与算法 开发测试 游戏开发 网络协议 系统运维
教程: HTML教程 CSS教程 JavaScript教程 Go语言教程 JQuery教程 VUE教程 VUE3教程 Bootstrap教程 SQL数据库教程 C语言教程 C++教程 Java教程 Python教程 Python3教程 C#教程
数码: 电脑 笔记本 显卡 显示器 固态硬盘 硬盘 耳机 手机 iphone vivo oppo 小米 华为 单反 装机 图拉丁
 
   -> 网络协议 -> 【以太网通信】FPGA 实现 IP 协议的校验 -> 正文阅读

[网络协议]【以太网通信】FPGA 实现 IP 协议的校验

使用 FPGA 实现以太网通信时,需要计算 IP 首部的校验和。本文给出了一种计算 IP 数据报校验和的 HDL 实现方法。

目录

1 IP 协议

2 校验过程

3 HDL 描述


1 IP 协议

????????TCP/IP 协议是?TCP、UDP 和 IP 等协议构成的协议簇,作为网络信息传输的规范。在 OSI 网络模型中,TCP 和 UDP 协议由传输层处理,IP 协议由网络层处理。

????????在网络层中,数据以 IP 数据报为单位进行传输。每一个 IP 数据报都由 IP 首部和报文数据两部分组成,其中 IP 首部至少包括?20 个字节,这 20 个字节的含义如下:

2 校验过程

????????IP 数据报只对首部进行校验,发送数据时,按照以下步骤计算 IP 校验和:

(1)把 IP 首部的校验和字段置为?0x0000;

(2)将首部按照 16bit 为字长进行二进制反码求和;

(3)将校验和填充到 IP 首部中。

????????接收数据时,校验数据的步骤为:

(1)将首部(包括校验和)按照 16bit 为字长进行二进制反码求和;

(2)判断计算结果是否等于零;

(3)如果校验和为零,则校验通过;否则,校验失败,丢弃该报文。

3 HDL 描述

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity validate_ip is
   port(
	  -- System level
	  sys_rst        : in std_logic;
	  sys_clk        : in std_logic;
		
	  -- IP information
	  ip_packet_len  : in std_logic_vector(2*8-1 downto 0);
	  identify_code  : in std_logic_vector(2*8-1 downto 0);
	  local_ip_addr  : in std_logic_vector(4*8-1 downto 0);
	  remote_ip_addr : in std_logic_vector(4*8-1 downto 0);
		
	  -- IP checksum output
	  ip_checksum_o  : out std_logic_vector(2*8-1 downto 0)
   );
end entity;
architecture behav of validate_ip is
   -- internal signal declarations
   subtype word is std_logic_vector(15 downto 0);
   type check_info_t is array(0 to 9) of word;
   signal ip_check_info: check_info_t;
   signal ip_checksum: std_logic_vector(2*8-1 downto 0);
begin
   -- todo
   process(sys_rst,sys_clk)
   begin
      if sys_rst = '1' then
         ip_check_info <= (others => (others => '0'));
      elsif rising_edge(sys_clk) then
         ip_check_info(0) <= X"4500";  -- IPv4, IP head 20 Bytes
         ip_check_info(1) <= ip_packet_len;
         ip_check_info(2) <= identify_code;
         ip_check_info(3) <= X"4000";  -- Don't Fragment, shift 0
         ip_check_info(4) <= X"4011";  -- TTL, 64ms, UDP protocol
         ip_check_info(5) <= X"0000";  -- IP checksum bytes padding
         ip_check_info(6) <= local_ip_addr(4*8-1 downto 2*8);
         ip_check_info(7) <= local_ip_addr(2*8-1 downto 0*8);
         ip_check_info(8) <= remote_ip_addr(4*8-1 downto 2*8);
         ip_check_info(9) <= remote_ip_addr(2*8-1 downto 0*8);
      end if;
   end process;

   process(ip_check_info)
      variable tmp: std_logic_vector(31 downto 0);
   begin
      tmp := (others => '0');
      for i in 0 to 9 loop
         tmp := tmp + ip_check_info(i);
      end loop;
      ip_checksum <= not (tmp(15 downto 0) + tmp(31 downto 16));
   end process;

   process(sys_rst,sys_clk)
   begin
      if sys_rst = '1' then
         ip_checksum_o <= (others => '0');
      elsif rising_edge(sys_clk) then
         ip_checksum_o <= ip_checksum;
      end if;
  end process;
end architecture;

综合得到的 RTL 电路图如下:

? ? ? ? 上述综合结果加法器的路径比较长,如果对时序的要求比较严格,可以考虑采用树形结构电路优化,但是会消耗更多的寄存器资源。

  网络协议 最新文章
使用Easyswoole 搭建简单的Websoket服务
常见的数据通信方式有哪些?
Openssl 1024bit RSA算法---公私钥获取和处
HTTPS协议的密钥交换流程
《小白WEB安全入门》03. 漏洞篇
HttpRunner4.x 安装与使用
2021-07-04
手写RPC学习笔记
K8S高可用版本部署
mySQL计算IP地址范围
上一篇文章      下一篇文章      查看所有文章
加:2022-09-24 21:27:49  更:2022-09-24 21:28:40 
 
开发: C++知识库 Java知识库 JavaScript Python PHP知识库 人工智能 区块链 大数据 移动开发 嵌入式 开发工具 数据结构与算法 开发测试 游戏开发 网络协议 系统运维
教程: HTML教程 CSS教程 JavaScript教程 Go语言教程 JQuery教程 VUE教程 VUE3教程 Bootstrap教程 SQL数据库教程 C语言教程 C++教程 Java教程 Python教程 Python3教程 C#教程
数码: 电脑 笔记本 显卡 显示器 固态硬盘 硬盘 耳机 手机 iphone vivo oppo 小米 华为 单反 装机 图拉丁

360图书馆 购物 三丰科技 阅读网 日历 万年历 2024年5日历 -2024/5/19 4:01:55-

图片自动播放器
↓图片自动播放器↓
TxT小说阅读器
↓语音阅读,小说下载,古典文学↓
一键清除垃圾
↓轻轻一点,清除系统垃圾↓
图片批量下载器
↓批量下载图片,美女图库↓
  网站联系: qq:121756557 email:121756557@qq.com  IT数码