最近在学习张强的《uvm实战》,想要仿真一下书中的代码,建立仿真环境。
具体步骤
-
新建一个文件夹,这里为uvm_test。文件夹里准备好3个文件,分别为dut.sv,my_driver.sv和top_tb.sv -
modelsim脚本的编写 创建sim0.do文件 cd D:/uvm_test
vlib work
set UVM_HOME C:/questasim64_10.6c/verilog_src/uvm-1.1d
set WORK_HOME D:/uvm_test
vlog +incdir+$UVM_HOME/src -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $UVM_HOME/src/uvm_pkg.sv $WORK_HOME/dut.sv top_tb.sv
vsim -novopt -c -sv_lib C:/questasim64_10.6c/uvm-1.1d/win64/uvm_dpi work.top_tb
- 通过cd命令切换到当前存放上面三个.sv代码的文件夹
- 建立一个work工作区文件夹
- 设置UVM库的路径
- 设置工作文件夹
- 编译verilog代码
- 运行仿真
-
写一个bat脚本来执行modelsim命令 vsim -do sim0.do
-
执行脚本后 -
执行run、添加波形等
遇见的问题
- 脚本编写时写到一行
- windows下路径为反斜杠的书写
- 电脑同时安装Questa和Modelsim时,似乎是Questa优先(这里没搞明白)
- 脚本中很多命令的具体意义还待学习
- windows平台下只是为了偷懒,实际运用还是应该在Linux下使用VCS或NCsim
|